LIBRARY VHDL

Dalam bahasa pemrograman vhdl dikenal pula paket library/pustaka yang berfungsi untuk memudahkan prorammer untuk menyelesaikan pekerjaannya karena dalam library tersebut terdapat fungsi-fungsi dan tipe data yang sudah didefinisikan sebelumnya untuk digunakan berulang-ulang. Dalam vhdl terdiri beberapa library, diantaranya ieee, std, work dan lain-lain. Di dalam library tersebut terdapat sub-tree yang disebut sebagai paket, diantaranya :

LIBRARY IEEE :
- math_real
- numeric_bit
- numeric_std
- std_logic_1164
- std_logic_arith
- std_logic_signed
- std_logic_unsigned
- vital_timing

LIBRARY STD :
- standard
- textio

LIBRARY WORK :
semua source code user akan dicompile dan dimasukkan ke dalam library ini

BY : OVI ERPINTA

Multiplexer VHDL template

tugas FPGA.

Multiplexer berfungsi untuk memilih output dari beberapa input berdasarkan input pada kaki selectornya. Multiplexer memiliki rangkaian logika sebagai berikut :

Dalam tulisan kali ini akan dicontohkan kode program vhdl untuk membuat multiplexer dengan 4 input, 2 selector, dan 1 output. Multiplexer diatas memiliki tabel logika :

==========
= s1 = s2 = Y =
==========
= 0 = 0 = a =
= 0 = 1 = b =
= 1 = 0 = c =
= 1 = 1 = d =
===========


Pertama-tama definisikan entitas multiplexer dengan nama "kepletex" :

entity kepletex is -- mendefinisikan entity "kepletex"
port(
a,b,c,d,s1,s2: in bit; -- terdapat 4 port input, 2 selector, dan 1 output
y:out bit);
end kepletex;


Lalu definisikan arsitektur "mux_arch" dari entity "kepletex" :

architecture mux_arch of kepletex is
begin
proc: process is
begin
if (s1='0' and s2='0') then y <= a;
else if (s1='0' and s2='1') then y <= b;
else if (s1='1' and s2='0') then y <= c;
else if (s1='1' and s2='1') then y <= d;
end if;
end process proc;
end mux_arch;


Arsitektur berfungsi untuk menerangkan bagaimana entity "kepletex" bekerja. Namun kode diatas hanyalah berupa template, sehingga tidak bisa dijalankan untuk simulasi maupun di sintesis karena belum didefinisikan bit input pada masing-masing portnya. Untuk itu kita tambahkan entity "sinyal" dan arsitektur "sinyal_arch" yang berfungsi untuk memberikan input pada entity "kepletex".

entity sinyal is
port(
pa,pb,pc,pd,ps1,ps2:out bit);
end sinyal;

architecture sinyal_arch of sinyal is
begin
pros: process is
begin
pa <= '0';
pb <= '1';
pc <='1';
pd <= '0';
ps1 <= '1';
ps2 <= '0';
end process pros;
end sinyal_arch;


Semua kode vhdl diatas digabung menjadi satu menjadi :

library ieee;
use ieee.std_logic_1164.all;

entity kepletex is -- mendefinisikan entity "kepletex"
port(
a,b,c,d,s1,s2: in bit; -- terdapat 4 port input, 2 selector, dan 1 output
y:out bit);
end kepletex;

architecture mux_arch of kepletex is
begin
proc: process is
begin
if (s1='0' and s2='0') then y <= a;
else if (s1='0' and s2='1') then y <= b;
else if (s1='1' and s2='0') then y <= c;
else if (s1='1' and s2='1') then y <= d;
end if;
end process proc;
end mux_arch;

entity sinyal is
port(
pa,pb,pc,pd,ps1,ps2:out bit);
end sinyal;

architecture sinyal_arch of sinyal is
begin
pros: process is
begin
pa <= '0';
pb <= '1';
pc <='1';
pd <= '0';
ps1 <= '1';
ps2 <= '0';
end process pros;
end sinyal_arch;

-- kode dibawah ini merupakan kode yang berfungsi menjalankan --
-- entity yang telah didefinisikan diatas --

library work;
use work.all;

entity eksekusi is
end eksekusi;

architecture eksekusi_arch of eksekusi is
signal in1,in2,in3,in4,select1,select2,output: bit;
begin
w1: entity sinyal port map(in1,in2,in3,in4,select1,select2);
w2: entity kepletex port map(in1,in2,in3,in4,select1,select2,output);
end eksekusi_arch;Multiplexer VHDL template

by : OVI ERPINTA

Tugas Bahasa Indonesia 1

Kata Baku - Tidak Baku

1. aktif = aktip
2. ambulans = ambulan
3. analisa = analisis
4. andal = handal
5. anggota = angauta
6. antre = antri
7. apotik = apotek
8. asas = azas
9. atlet = atlit
10. bus = bis
11. berpikir = berfikir
12. cabai = cabe, cabay
13. cenderamata = cinderamata
14. daftar = daptar
15. definisi = difinisi
16. depot = depo
17. detail = detil
18. diagnosis = diagnosa
19. diferensial = differensial
20. dipersilakan = dipersilahkan
21. disahkan = disyahkan
22. ekspor = eksport
23. ekstrem = ekstrim
24. ekuivalen = ekwivalen
25. embus = hembus
26. esai = esei
27. formal = formil
28. februari = pebruari
29. fiologi = phiologi
30. fisik = phisik
31. foto = photo
32. fondasi = pondasi
33. frekuensi = frekwensi
34. hafal = hapal
35. hakikat = hakekat
36. hierarki = hirarki
37. hipotesis = hipotesa
38. insaf = insyaf
39. ikhlas = ihlas
40. impor = import
41. istri = isteri
42. ijazah = ajasah, ijasah
43. izin = ijin
44. imbau = himbau
45. isap = hisap
46. jaman = zaman
47. jenazah = jenasah
48. justru = justeru
49. karier = karir
50. kaidah = kaedah
51. kategori = katagori
52. khotbah = khutbah
53. konferesi = konperensi
54. kongres = konggres
55. kompleks = komplek
56. kualifikasi = kwalifikasi
57. kualitas = kwalitas
58. kuantitatif = kwantitatif
59. koordinasi = koordinir
60. manajemen = menejemen
61. manajer = menejer
62. masalah = masaalah
63. masjid = mesjid
64. merek = merk
65. meterai = meterei
66. metode = metoda
67. miliar = milyar
68. misi = missi
69. mulia = mulya
70. mungkir = pungkir
71. museum = musium
72. narasumber = nara sumber
73. nasihat = nasehat
74. November = Nopember
75. objek = obyek
76. objektif = obyektif
77. paspor = pasport
78. peduli = perduli
79. praktik = praktek
80. provinsi = propinsi
81. putra = putera
82. profesor = proffesor
83. ramadhan = ramadan
84. risiko = resiko
85. saraf = syaraf
86. sekadar = sekedar
87. silakan = silahkan
88. sistem = sistim
89. saksama = seksama
90. standardisasi = standarisasi
91. subjek = subyek
92. subjektif = subyektif
93. teknik = tehnik
94. teknologi = tehnologi
95. terampil = trampil
96. telantar = terlantar
97. ubah = rubah
98. utang = hutang
99. varietas = varitas
100. zaman = jaman

Tugas Bahasa Indonesia 1

Mengapa Kita Masih Belajar Bahasa Indonesia

k
arena bahasa Indonesia itu menunjukan kepribadian itu suatu bangsa\kepribadian seseorang melalui tutur kata dan bahasa yang sering kita gunakan dan bahasa indonesia juga merupakan bahasa pemersatu bangsa dimana tiap orang akan mengerti jika di antara mereka menggunakan bahasa Indonesia.Bahasa Indonesia adalah bahasa pemersatu bangsa, dimana setiap orang akan mengerti jika diantara mereka menggunakan bahasa Indonesia,Selain itu, banyak aspek dalam pelajaran bahasa Indonesia yang harus kita ketahui, tidak hanya percakapan sehari-hari.
Kita sebagai masyarakat bangsa Indonesia sangat beruntung memiliki bahasa Indonesia. Bahasa Indonesia berkembang dengan sendirnya sesuai dengan aturannya, kita ingin memposisikan bahasa Indonesia pada posisinya, seperti yang telah termaktub dalam Sumpah Pemuda. Sumpah Pemuda mengikrarkan tiga hal yang sakral dalam sejarah dan proses kemerdekaan Indonesia, satu diantaranya adalah “Menjunjung tinggi bahasa persatuan, bahasa Indonesia. Dari celetukan itu, ada beberapa hal yang perlu kita cermati. Pertama, tampaknya pengertian bahasa yang baik dan benar itu belum dipahami oleh sebagian orang. Kedua, ada anggapan bahwa di mana dan kapan saja berada, kita harus berbicara dengan bahasa Indonesia yang baik dan benar. Pemilihan penutur bahasa terbaik hanyalah bagian dari proses tarik-menarik dalam pembakuan bahasa. Bahasa Indonesia bukan sebuah paket komplet yang telah selesai sehingga masih harus dibentuk bersama.Maka lihatlah, sampai hari ini kita masih kikuk dalam menyerap istilah asing.
Sumber Bahasa Indonesia
Sejarah tumbuh dan berkembangnya Bahasa Indonesia tidak lepas dari Bahasa Melayu. Dimana Bahasa melayu sejak dahulu telah digunakan sebagai bahasa perantara (lingua franca) atau bahasa pergaulan. Bahasa melayu tidak hanya digunakan di Kepulauan Nusantara, tetapi juga digunakan hampir diseluruh Asia Tenggara. Hal ini diperkuat dengan ditemukannya Prasasti-prasasti kuno dari kerjaan di indonesia yang ditulis dengan menggunakan Bahasa Melayu. Dan pasa saat itu Bahasa Melayu telah Berfungsi Sebagai :
1. Bahasa Kebudayaan yaitu bahasa buku-buku yang berisi aturan-aturan hidup dan satra
2. Bahasa Perhubungan (Lingua Franca) antar suku di Indonesia
3. Bahasa Perdagangan baik bagi suku yang ada di indonesia mapupun pedagang yang berasal dari luar indonesia.
4. Bahasa resmi kerajaan.

Bahasa Indonesia mempunyai dua kedudukan yang sangat penting yaitu :
1. Sebagai Bahasa Nasional
Seperti yang tercantum dalam ikrar ketiga Sumpah Pemuda 1928 yang berbunyi Kami putra dan putri Indonesia menjunjung bahasa persatuan, bahasa Indonesia. Ini berarti bahasa Indonesia berkedudukan sebagai bahasa Nasional yang kedudukannya berada diatas bahasa-bahasa daerah.
1. Sebagai Bahasa Negara
Tercantum dalam Undang-Undang Dasar 1945 (Bab XV Pasal 36) mengenasi kedudukan bahasa Indonesia yang menyatakan bahawa bahasa negara ialah bahasa Indonesia.
2.5.1. Fungsi Bahasa Indonesia
Di dalam kedudukannya sebagai bahasa nasional, bahasa Indonesia berfungsi sebagai :
1. Lambang kebangsaan
2. Lambang identitas nasional
3. Alat penghubung antarwarga, antardaerah dan antarbudaya
4. Alat yang memungkinkan penyatuan berbagai suku bangsa dengan latar belakang sosial budaya dan bahasa yang berbeda-beda ke dalam satu kesatuan kebangsaan yang bulat.

Di dalam kedudukannya sebagai bahasa negara, bahasa indonesia berfungsi sebagai :
1. Bahasa resmi kenegaraan
2. Bahasa pengantar di dalam dunia pendidikan
3. Alat perhubungan pada tingkat nasional untuk kepentingan perencanaan dan pelaksanaan pembangunan
4. Alat pengembangan kebudayaan, ilmu pengetahuan dan teknologi.
Sebagaimana yang kita ketahui bahasa Indonesia sumbernya adalah bahasa melayu. Sebagai bangsa yang besar selayaknyalah kita menghargai nilai-nilai sejarah tersebut dengan tetap menghrmati bahasa melayu. Disamping itu alangkah baiknya apabila kita menggunakan bahasa indonesia secara baik dan benar.


TUJUAN DIAJARKANNYA BAHASA INDONESIA
Apa Saja Tujuan dari Diajarkannya Bahasa Indonesia.
Kita dari kecil pun sudah diajarkan bagaimana berbicara bahasa Indonesia yang baik oleh orang tua kita, karena berbahasa Indonesia yang baku itu ciri sopan santun kita dalam berbicara. Ketika masuk sekolah dasar, kita diajarkan bagaimana berbicara bahasa Indonesia yang baik, bahkan di SMP, SMA, sampai kuliahpun kita masih diajarkan bahasa Indonesia. Ini semua bertujuan agar kita dari kecil hingga dewasa dapat memahami lebih jauh berbahasa Indonesia yaitu bahasa kita sendiri agar kita dapat mengetahui cara berbicara bahasa Indonesia yang benar seperti apa, dan juga kita dapat mempraktikannya di dalam berbicara sehari-hari. Kita juga harus melestarikan berbahasa Indonesia agar tidak hilang, justru kita juga harus membanggakannya sebagai warga bangsa Indonesia.