Seven Segment Display (SSD)

pengertian sevent segment

Seven Segment adalah suatu segmen- segmen yang digunakan menampilkan angka. Seven segmen ini tersusun atas 7 batang LED yang disusun membentuk angka 8 dengan menggunakan huruf a-f yang disebut DOT MATRIKS. Setiap segmen ini terdiri dari 1 atau 2 Light Emitting Diode ( LED ). Seven Segment Display adalah sirkuit yang dapat menampilkan angka desimal atau heksadesimal.Biasa display seven segmen terdiri dari 7 bagian yang setiap bagian adalah LED (Light Emitting Diode) yang dapat menyala. Jika 7 dari dioda dihidupkan dengan aturan-aturan sedemikian rupa, bagian ketujuh dapat menampilkan angka heksadesimal.







macam- macam sevent segment

• common anoda

semua anoda dari dioda disatukan secara paralel dan semua itu dihubungkan ke VCC dan kemudian LED dihubungkan melalui tahanan pembatas arus keluar dari penggerak. Karena
dihubungkan ke VCC, maka COMMON ANODA ini berada pada kondisi AKTIF LOW.


•Common Katoda

semua katoda disatukan secara parallel dan dihubungkan ke GROUND. Karena seluruh katoda dihubungkan ke GROUND, maka COMMON KATODA ini berada pada kondisi AKTIF HIGH.

library IEEE;
USE IEEE..STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;

Entity sevent_segment Is
port (
d: in std_logic_vector(3 downto 0);
s: out std_logic_vector(7 downto 0));
End sevent_segment;


Architecture ledi of sevent_segment Is
Begin

s <= "00000110" when d="0001" else --1
"01011010" when d="0010" else --2
"01001111" when d="0011" else --3
"01100110" when d="0100" else --4
"01101101" when d="0101" else --5
"01111101" when d="0110" else --6
"00000111" when d="0111" else --7
"01111111" when d="1000" else --8
"01101111" when d="1001" else --9
"01110111" when d="1010" else --a
"01111100" when d="1011" else --b
"00111001" when d="1100" else --c
"01011110" when d="1101" else --d
"01111001" when d="1110" else --e
"01110001" when d="1111" else --f
"00111111" ; --0


End

logika program

pada program ini menggunakan Entity dimana didalam entity terdapat port dengan diawali kurung buka.'.Setelah Entity harus ada yang namanya Architecture, dimana nama architecturenya bebas.setelah itu dengan kata Begin untuk melakukan suatu proses.di dalam program ini kita memakai kode ASCII sesuai yang apa yang dipinta pada port sevent segment.Pada program diatas menggunakan jenis 7'segment yang berjenis common anoda dimana hasil yang akan menyala pada 7'segment yang berlogika '0.dan jika clk'event and clk = '1' maka list program akan mengeksekusi satu per satu yang dimana akan menghaislkan angka 0 - 9.maka program akan jalan.

0 Response to "Seven Segment Display (SSD)"

Posting Komentar