Relaksasi Termudah dan Termurah

Konon,relaksasi dapat mengubah stres menjadi gairah hidup.Contohnya adalah relaksasi pernapasan yang dapat dilakukan.Misalnya,saat macet,ban mobil pecah di jalan yang ramai,tegang,dan jenuh karena harus menyelesaikan setumpuk pekerjaan.
Bagaimana caranya? Duduklah dengan tegak,tetapi rileks.Tarik napas dalam-dalam,lalu embuskan perlahan-lahan,lebih baik dengan mata terpejam.Ulangi tiga-empat kali atau lebih.
Logikanya,saat stres,tegang atau emosi labil,pernapasan menjadi buruk,pendek,dan tersengal-sengal.Asupan oksigen ke paru-paru tidak kuat sehingga mempengaruhi kadar oksigen dalam darah.Akibatnya,sel-sel tubuh,termasuk sel-sel otak,kekuranga oksigen.Kekurangan oksigen di sel-sel otak akan mengacaukan aktivitas tubuh dan emosi.Dengan menarik napas dalam-dalam,pasokan oksigen ditingkatkan untuk memenuhi ketubuhan sel-sel otak dan tubuh kita.
Ini merupakan metode relaksasi termudah dan murah karena kita bernapas sejak lahir,dan udara yang dibutuhkan pun tersedia disekitar kita.Ada pendapat,latihan ini meningkatkan kebijaksaan.

(Dikutip dari Kompas Cyber Media,22 Desember 2005)

Mengalah untuk Menang

Dalam permainan, mungkin kita harus berusaha dengan keras agar bisa menjadi seorang pemenang. Namun, dalam kehidupan nyata, untuk menjadi seorang pemenang, tidaklah cukup hanya dengan berusaha untuk menang saja. Kadang kita juga harus mengalah. Mengalah di sini bukan berarti 'Menjadi Kalah', tapi lebih ke hal-hal yang perlu kita korbankan sedikit untuk menjadi seorang pemenang. Bila masih bingung, mari kita pahami beberapa contoh yang sengaja kuambil dari beberapa kejadian di dunia nyata.


Penyakit Demam Berdarah

Penyakit ini ditunjukkan melalui munculnya DEMAM tinggi terus menerus, disertai adanya tanda perdarahan, Ruam demam berdarah mempunyai ciri-ciri merah terang. Selain itu tanda dan gejala lainnya adalah sakit perut, rasa mual, hemokonsentrasi, sakit kepala berat, sakit pada sendi, sakit pada otot . Sejumlah kecil kasus bisa menyebabkan sindrom shock dengue yang mempunyai tingkat kematian tinggi. Kondisi waspada ini perlu disikapi dengan pengetahuan yang luas oleh penderita maupun keluarga yang harus segera konsultasi ke dokter apabila pasien/penderita mengalami demam tinggi 3 hari berturut-turut. Banyak penderita atau keluarga penderita mengalami kondisi fatal karena menganggap ringan gejala-gejala tersebut.

Pencegahan utama demam berdarah terletak pada menghapuskan atau mengurangi vektor nyamuk demam berdarah. Insiatif untuk menghapus kolam-kolam air yang tidak berguna (misalnya di pot bunga) telah terbukti berguna untuk mengontrol penyakit yang disebabkan nyamuk, menguras bak mandi setiap seminggu sekali, dan membuang hal - hal yang dapat mengakibatkan sarang nyamuk demam berdarah Aedes Aegypti.

Mengatasi Gangguan Tidur

Dengan berlatih rutin menggunakan didgeridoo,alat musik tiup bangsa Aborigin di Australia,mampu mengurangi masalah gangguan tidur.Latihan menyanyi juga memiliki manfaat yang sama.
Para peneliti Swiss menemukan bahwa dengan latihan rutin meniup instrumen tersebut selama 25 menit setiap hari,dapat mengatasi dengan apnoea.Penderita apnoea tidak dapat menikmati tidur dengan nyaman.Penderitaanya seringkali terjaga secara tiba-tiba dari tidurnya karena tidak dapat bernafas.Hal tersebut terjadi akibat tertutupnya lubang tenggorokan oleh jaringan lunak di bagian pangkal tenggorokan.
Mereka meneliti 25 orang yang memiliki gangguan apnoea dan membaginya menjadi dua kelompok.Salah satunya diberikan latihan rutin selama empat bulan,sedangkan kelompok lainnya dimasukkan kedalam daftar tunggu.Pasien memperoleh latihan dilaporkan merasa tidur dengan lebih nyaman,sedangkan yang belum masih terus terganggu.

(Dikutip dari Kompas Cyber Media,26 Desember 2005,dengan pengubahan)

Khasiat Lidah Buaya

Ternyata dalam daun lidah buaya terkandung beberapa zat yang sangat baik untuk perawatan kecantikan dan obat. Tanaman lidah buaya (Aloe Vera Linn) sudah dikenal sejak ribuan tahun silam.Tanaman ini berasal dari Afrika. Lidah buaya masuk ke Indonesia dibawa oleh petani keturunan Cina pada abad ke-17. Sebutan untuk tanaman ini sangat bervariasi, tergantung negara tempat tumbuh.Lidah buaya bisa tumbuh di daerah kering, seperti Afrika, Asia dan Amerika. Hal ini disebabkan tumbuhan tersebut bisa menutup stomata (mulut) daun hingga rapat pada musim kemarau untuk menghindari kehilangan air dari daunnya. Lidah buaya juga bisa tumbuh di daerah beriklim dingin. Kelemahannya, jika ditanam di daerah basah dengan curah hujan tinggi, mudah terserang cendawan.
Lidah buaya juga dapat menumbuhkan rambut dan mencegah kerontokan.Jelly mengandung zat anti bakteri dan anti jamur. Di dalam jelly juga terkandung salisilat, sehingga bisa meredam sakit dan anti bengkak. Sedangkan cairan berwarna kekuningan yang mengandung aloin berasal dari lateks yang terdapat dibagian luar kulit. Cairan ini bisa digunakan untuk obat pencahar.

BUAH JERUK, CEGAH STROKE DAN KANKER

Jeruk Bali

Bentuknya besar dengan daging buah berwarna putih ataug merah muda. Jeruk ini memiliki cita rasa manis, asam dan segar karena banyak mengandung air. Jeruk bali mengandung vitamin B, provitamin A, vitamin B1, B2 dan asam folat. Setiap 100 g jeruk bali mengandung 53 kkal energi, protein 0,6 g, lemak 0.2 g, karbohidrat 12.2 g, retinol 125 mcg, kalsium 23 mg dan 27 mg fosfor. Kandungan lain seperti flavonoid, pektin dan lycopene menjadikan buah ini semakin kaya akan zat-zat yang bermanfaat bagi kesehatan.

Beragam manfaat bisa diperoleh jika mengkonsumsi jus jeruk bali. Senyawa terkandung di dalam jeruk bali mampu mencegah kanker, menurunkan risiko penyakit jantung, melancarkan saluran pencernaan, menjaga kesehatan kulit, mencegah konstipasi, menurunkan kolesterol dan mencegah anemia.Jenis manis mengandung betakaroten dan bioflavanoid yang dapat memperkuat dinding pembuluh darah kapiler. Pektinnya juga banyak terapat dalam buah dan kulit jeruk, manfaatnya membantu menurunkan kadar kolesterol jahat (LDL) dan mingkatkan kolesterol baik (HDL). Jeruk juga berlimpah kandungan flavanoidnya, seperti flavanpis yang berfungsi sebagai antioksidan penangkal menangkap radikal bebas penyebab kanker. Flavanoid juga menghalangi reaksi oksidasi LDL yang menyebabkan darah mengental dan mencegah pengendapan lemak pada dinding pembuluh darah. Jeruk juga kaya akan kandungan gula buah yang dapat memulihkan energi secara cepat. Jeruk juga kaya akan serat (dietary fiber) yang dapat mengikat zat karsinogen di dalam saluran pencernaan. Manfaatnya sembelit, wasir dan kanker.

sumber : http://safril.wordpress.com/2007/05/14/1001-manfaat-jeruk/

Kebakaran di Dekat Mal Cijantung

Kebakaran melanda permukiman di dekat Mal Cijantung, Jakarta Timur, Kamis (30/12/2010) petang, tepatnya di Jl Flamboyan 2. Suku Dinas Kebakaran Jakarta Timur telah mengirimkan pasukan pemadam kebakaran ke lokasi kejadian.Belum ada laporan berapa rumah yang terbakar. Kami sudah kirimkan 9 mobil pemadam ke lokasi," ujar Komarudin, salah satu petugas Suku Dinas Pemadam Kebakaran Jakarta Timur, yang dihubungi Kompas.com lewat telepon.Ia mengatakan, kebakaran dilaporkan terjadi kira-kira mulai pukul 18.40 WIB. Saat ini kebakaran belum berhasil dipadamkan dan pihaknya masih memberitahukan informasi tersebut ke suku dinas lainnya untuk menambah mobil pemadam ke lapangan.

sumber : kompas.com

Kebakaran di Dekat Mal Cijantung

Kebakaran melanda permukiman di dekat Mal Cijantung, Jakarta Timur, Kamis (30/12/2010) petang, tepatnya di Jl Flamboyan 2. Suku Dinas Kebakaran Jakarta Timur telah mengirimkan pasukan pemadam kebakaran ke lokasi kejadian.Belum ada laporan berapa rumah yang terbakar. Kami sudah kirimkan 9 mobil pemadam ke lokasi," ujar Komarudin, salah satu petugas Suku Dinas Pemadam Kebakaran Jakarta Timur, yang dihubungi Kompas.com lewat telepon.Ia mengatakan, kebakaran dilaporkan terjadi kira-kira mulai pukul 18.40 WIB. Saat ini kebakaran belum berhasil dipadamkan dan pihaknya masih memberitahukan informasi tersebut ke suku dinas lainnya untuk menambah mobil pemadam ke lapangan.

sumber : kompas.com

Bom Meledak di Gedung Pengadilan Athena

Sebuah bom meledak di luar gedung pengadilan di Kota Athena, Yunani, pada Kamis (30/12/2010) waktu setempat, mengakibatkan kerusakan di gedung tersebut.Pihak kepolisian sempat mengamankan lokasi sebelum ledakan terjadi karena polisi telah mendapat telpon yang memberitahu tentang ledakan tersebut.Ledakan bom di dekat pusat kota Athena itu seperti dikabarkan oleh media televisi Yunani tidak menimbulkan kerusakan ataupun korban jiwa, namun beberapa gedung kacanya pecah akibat tekanan yang disebabkan oleh bom.Informasi awal menyebutkan, bom diletakkan di sebuah motor, demikian disebutkan oleh sumber kepolisian Yunani.

"Ini lebih seperti ledakan yang keras," kata pemilik kios di dekat lokasi ledakan bom kepada televisi Alter. Si pemilik kios sekitar 40 menit sebelum ledakan telah menerima telepon gelap yang menyebutkan rencana ledakan bom itu.

sumber : kompas.com

GUNUNG RAUNG MELETUS TERUS SEMBURKAN ABU VULKANIK

Meski masih berstatus normal aktif, Gunung Raung di Banyuwangi, Jawa Timur, sejak Rabu (15/12) mengeluarkan beberapa kali semburan abu.Hal itu dikemukakan Balok Suryadi, Kepala Pos Pengamatan Gunung Raung di Desa Sumberarum, Kecamatan Songgon, Banyuwangi, Selasa (21/12). Penduduk Desa Manggar, Kecamatan Songgon, mengeluh karena semburan debu. Empat kecamatan lain juga terkena abu, yaitu Kecamatan Sempu, Singojuruh, Licin, dan Glenmore.Jika angin dari selatan, semburan abu sampai ke Situbondo. Jika angin bertiup dari timur, semburan abu sampai ke beberapa desa di Kabupaten Jember dan Bondowoso. ”Sebaliknya, jika angin dari barat, abu mengarah ke Banyuwangi,” kata Balok.

Dari Probolinggo dilaporkan, kendati Gunung Bromo masih mengeluarkan asap, pelaku pariwisata kembali optimistis. ”Pariwisata di Bromo kembali menggeliat dengan pemberitaan yang ada. Wisatawan, terutama dari mancanegara, ingin melihat asap dari kawah Bromo,” kata Ketua Perhimpunan Hotel dan Restoran Indonesia (PHRI) Probolinggo Digdoyo Djamaludin Putra.Sejauh ini sektor pertanian di Jawa Timur belum terimbas erupsi Gunung Bromo. Abu vulkanik memang menyebabkan kerusakan sebagian lahan pertanian dan perkebunan, tetapi belum berdampak signifikan terhadap angka produksi pertanian. Demikian dikatakan Kepala Bidang Produksi Tanaman Pangan Dinas Pertanian Jawa Timur Achmad Nur Falakhi, Selasa.Di Bandung, Kepala Badan Geologi Kementerian Energi dan Sumber Daya Mineral Sukhyar menyatakan, standar pemantauan gunung api aktif di Indonesia membutuhkan banyak pembenahan.

Tugas Bahasa Indonesia 3

BANJIR DI IBU KOTA



1. Metode devinisi


Ketua Aliansi Masyarakat Jakarta (Amarta), M Rico Sinaga mengatakan, hal yang patut dipahami, banjir dan kemacetan lalu lintas bukanlah persoalan teknis semata-mata seperti melakukan perbaikan daerah aliran sungai, sistem drainase kota, dan penambahan ruas jalan. Lebih dari itu, persoalan banjir dan kemacetan lalu lintas di ibu kota memiliki banyak dimensi seperti, hubungan antar instansi pusat dengan daerah, antar daerah, sejarah dan juga kultur masyarakatnya. Karena itu, pemecahan masalah itu, selain harus menyentuj berbagai aspek tersebut juga mesti melibatkan semua pihak terutama pihak berwenang gyang ikut terkait dengan kedua permasalahan tersebut. “Kecenderungan meletakkan semua pertangungjawaban kedua masalah tersebut kepada gubernur atau Pemprov DKI Jakarta jelas sikap keliru dan dengan sendirinya tidak akan menyelesaikan masalah,

2. Metode Sebab Akibat


Akibat dari banjir menyebabkan banyak waktu dan energi terbuang sehingga menyebabkan tingkat produktivitas masyarakat menurun yang secara tidak langsung juga menyebabkan terjadinya peningkatan emisi dari gas buang kendaraan hingga menyebabkan terjadinya pemanasan global dan perubahan iklim. Jika tidak segera diatasi, dipastikan akan menimbulkan bencana lingkungan yang serius dengan resiko dan kerugian yang lebih dahsyat.

3. Metode Proses

Sebagaimana diketahui, otoritas pengelolaan sungai dan drainase kota, diungkapkan Rico, tidak sepenuhnya berada pada Pemprov DKI Jakarta melainkan sebagian besar berada pada Pemerintah Pusat dalam hal ini Kementerian Pekerjaan Umum (PU). Karena itu, sambungnya, Pemerintah Pusat menjadi pihak yang ikut bertanggungjawab dalam mengatasi banjir di Jakarta. Apalagi, sebagian besar sungai yang ada di ibu kota juga melintas di daerah lain dan dengan sendirinya daerah-daerah itu mesti ikut bertanggungjawab dalam pemeliharaan sungai. Di samping itu, tentu kita juga tidak boleh menyampingkan terjadinya cuaca ekstrim yang belakangan kerap terjadi.

4. Metode Contoh

Mengenai kemacetan lalu lintas yang dari waktu ke waktu cenderung semakin parah, tentu tidak semata-mata akibat daya dukung jaringan jalan yang tidak seimbang dengan pertumbuhan jumlah kendaraan. Tetapi disebabkan pula faktor lainnya seperti, lemahnya disiplin pengguna jalan, tingginya arus masuk kendaraan dari luar ke dalam kota Jakarta, letak kawasan pemukiman yang terpisah jauh dengan pusat aktivitas ekonomi masyarakat dan lain sebagainya. Contoh akibat dari banjir :membuang sampah sembarangan.tanah longsor.dll

5 Metode Klasifikasi


Dalam satu dasawarsa terakhir, kemacetan dan banjir tampaknya telah menjadi masalah yang amat serius bagi warga ibu kota. Kerugian yang ditimbulkan dua masalah itu tentu sangat membebani kehidupan warga. Terlebih, dua masalah itu kerap menimbulkan dampak berganda. Genangan banjir misalnya, tidak hanya merusak harta benda milik warga saja, melainkan juga dapat memicu munculnya berbagai wabah penyakit, menganggu kegiatan perekonomian, bahkan tak tak luput dapat merenggut korban jiwa jika tidak ditangani dengan cepat dan menyeluruh. “Untuk mengurangi tingkat kemacetan yang terjadi, maka sudah seharusnya dilakukan pembatasan terhadap kendaraan berat berukuran besar yang masuk ke dalam kota pada jam-jam sibuk.

Tugas Bahasa Indonesia 2

Perbandingan tata cara bahasa dari 2 koran yang berbeda

Penjambret yang Ditembak Polisi

Polres Jakarta Timur akhirnya menemukan adanya kejanggalan dalam kasus penembakan dua pengendara motor di seberang Kecamatan Pulogadung, Jakarta Timur beberapa waktu lalu.Korban yang sebelumnya mengaku ditembak orang dikenal rupanya ditembak seorang polisi karena diduga jambret. Demikian disampaikan Kapolres Jakarta Timur Kombes Pol Saidal Mursalin, Jumat (22/12/2010), usai memimpin apel pengamanan di Museum Purna Bakti Pertiwi, Jakarta.

"Petugas berulang kali mengunjungi lokasi kejadian sesuai keterangan kedua korban, namun kami menemukan banyak kejanggalan" ujarnya.Kejanggalan terjadi pada kesaksian kedua korban tembakan yang dinilai meragukan. Saidal mengungkapkan keduanya mengaku kejadian penembakan terjadi di sekitar kantor Kecamatan Pulogadung pada siang hari dan banyak orang.

Setelah ditelusuri, polisi sama sekali tidak menemukan saksi mata yang mengetahui kejadian penembakan itu. Kedua korban yakni Marfin (27) dan Yogi Eko Wibowo (20) sempat mengaku kepada polisi ditembak orang tak dikenal yang mengendarai motor Yamaha RX-King.Penembakan diungkapkan keduanya terjadi usai terjadi percekcokan. Akibat penembakan itu, peluru menembus tangan Marfin dan satu buah peluru bersarang di lengan Yogi yang tengah membonceng Marfin.

Mereka akhirnya dilarikan ke Rumah Sakit Harun, Duren Sawit, Jakarta Timur dan pihak keluarga langsung melaporkan kejadian ini kepada aparat kepolisian. Namun, cerita ini bertolak belakang dengan temuan polisi. "Ternyata dia adalah pelaku penjambretan yang ditembak Polisi di kawasan Cempaka Putih," ucap Saidal.Temuan polisi tersebut juga didukung pengakuan Marfin dan Yogi yang mengaku dirinya merupakan penjambret. Untuk mengembangkan kasus ini, Polres Jakarta Timur masih akan berkoordinasi dengan Polres Jakarta Pusat

KOMPAS.com


Tertipu Undiah Berhadiah, Rp1,5 Juta Amblas

JAKARTA (Pos Kota) – Berharap dapat uang puluhan juta, priaberpendidikan tinggi justru menjadi korban penipuan undian berhadiahyang mengiming-iminginya.Korban, J.A Soge Dawan, 50, warga Jatinegara, Jaktim, melaporkan kejadiannya ke Polisi, Kamis (23/12).

Kepada petugas Polsek Senen, korban menceritakan pada Kamis pagi dirinya mendapat telepon yang mengabarkan kalau dirinya memenangkan undian berhadiah Rp 50 juta yang diselenggarakan Telkomsel.

Namun, sebagai syarat pengambilan korban diminta terlebih dahulu mentransfer uang Rp1, 5 juta melalui ATM ke nomer rekening Mandiri atasnama Sofyan Akbar. Tanpa pikir panjang, korban pun mengikuti apa yang diperintahkan pelakunya.“Saya seperti terhipnotis, nurut saja apa yang dibilang orang itu saya lakukan,” terangnya di kantor pelayanan Polsek Senen. Dia pun,
sambungnya, tersadar setelah uang yang ada di dalam ATM miliknya sudah berpindah tangan.Dengan menunjukan bukti struk tranfer uang dari ATM Mandiri Atrium Senen, korban juga meminta kepada petugas untuk memblokir rekening tabungannya.

poskota.com


Seven Segment Display (SSD)

pengertian sevent segment

Seven Segment adalah suatu segmen- segmen yang digunakan menampilkan angka. Seven segmen ini tersusun atas 7 batang LED yang disusun membentuk angka 8 dengan menggunakan huruf a-f yang disebut DOT MATRIKS. Setiap segmen ini terdiri dari 1 atau 2 Light Emitting Diode ( LED ). Seven Segment Display adalah sirkuit yang dapat menampilkan angka desimal atau heksadesimal.Biasa display seven segmen terdiri dari 7 bagian yang setiap bagian adalah LED (Light Emitting Diode) yang dapat menyala. Jika 7 dari dioda dihidupkan dengan aturan-aturan sedemikian rupa, bagian ketujuh dapat menampilkan angka heksadesimal.







macam- macam sevent segment

• common anoda

semua anoda dari dioda disatukan secara paralel dan semua itu dihubungkan ke VCC dan kemudian LED dihubungkan melalui tahanan pembatas arus keluar dari penggerak. Karena
dihubungkan ke VCC, maka COMMON ANODA ini berada pada kondisi AKTIF LOW.


•Common Katoda

semua katoda disatukan secara parallel dan dihubungkan ke GROUND. Karena seluruh katoda dihubungkan ke GROUND, maka COMMON KATODA ini berada pada kondisi AKTIF HIGH.

library IEEE;
USE IEEE..STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;

Entity sevent_segment Is
port (
d: in std_logic_vector(3 downto 0);
s: out std_logic_vector(7 downto 0));
End sevent_segment;


Architecture ledi of sevent_segment Is
Begin

s <= "00000110" when d="0001" else --1
"01011010" when d="0010" else --2
"01001111" when d="0011" else --3
"01100110" when d="0100" else --4
"01101101" when d="0101" else --5
"01111101" when d="0110" else --6
"00000111" when d="0111" else --7
"01111111" when d="1000" else --8
"01101111" when d="1001" else --9
"01110111" when d="1010" else --a
"01111100" when d="1011" else --b
"00111001" when d="1100" else --c
"01011110" when d="1101" else --d
"01111001" when d="1110" else --e
"01110001" when d="1111" else --f
"00111111" ; --0


End

logika program

pada program ini menggunakan Entity dimana didalam entity terdapat port dengan diawali kurung buka.'.Setelah Entity harus ada yang namanya Architecture, dimana nama architecturenya bebas.setelah itu dengan kata Begin untuk melakukan suatu proses.di dalam program ini kita memakai kode ASCII sesuai yang apa yang dipinta pada port sevent segment.Pada program diatas menggunakan jenis 7'segment yang berjenis common anoda dimana hasil yang akan menyala pada 7'segment yang berlogika '0.dan jika clk'event and clk = '1' maka list program akan mengeksekusi satu per satu yang dimana akan menghaislkan angka 0 - 9.maka program akan jalan.

LIBRARY VHDL

Dalam bahasa pemrograman vhdl dikenal pula paket library/pustaka yang berfungsi untuk memudahkan prorammer untuk menyelesaikan pekerjaannya karena dalam library tersebut terdapat fungsi-fungsi dan tipe data yang sudah didefinisikan sebelumnya untuk digunakan berulang-ulang. Dalam vhdl terdiri beberapa library, diantaranya ieee, std, work dan lain-lain. Di dalam library tersebut terdapat sub-tree yang disebut sebagai paket, diantaranya :

LIBRARY IEEE :
- math_real
- numeric_bit
- numeric_std
- std_logic_1164
- std_logic_arith
- std_logic_signed
- std_logic_unsigned
- vital_timing

LIBRARY STD :
- standard
- textio

LIBRARY WORK :
semua source code user akan dicompile dan dimasukkan ke dalam library ini

BY : OVI ERPINTA

Multiplexer VHDL template

tugas FPGA.

Multiplexer berfungsi untuk memilih output dari beberapa input berdasarkan input pada kaki selectornya. Multiplexer memiliki rangkaian logika sebagai berikut :

Dalam tulisan kali ini akan dicontohkan kode program vhdl untuk membuat multiplexer dengan 4 input, 2 selector, dan 1 output. Multiplexer diatas memiliki tabel logika :

==========
= s1 = s2 = Y =
==========
= 0 = 0 = a =
= 0 = 1 = b =
= 1 = 0 = c =
= 1 = 1 = d =
===========


Pertama-tama definisikan entitas multiplexer dengan nama "kepletex" :

entity kepletex is -- mendefinisikan entity "kepletex"
port(
a,b,c,d,s1,s2: in bit; -- terdapat 4 port input, 2 selector, dan 1 output
y:out bit);
end kepletex;


Lalu definisikan arsitektur "mux_arch" dari entity "kepletex" :

architecture mux_arch of kepletex is
begin
proc: process is
begin
if (s1='0' and s2='0') then y <= a;
else if (s1='0' and s2='1') then y <= b;
else if (s1='1' and s2='0') then y <= c;
else if (s1='1' and s2='1') then y <= d;
end if;
end process proc;
end mux_arch;


Arsitektur berfungsi untuk menerangkan bagaimana entity "kepletex" bekerja. Namun kode diatas hanyalah berupa template, sehingga tidak bisa dijalankan untuk simulasi maupun di sintesis karena belum didefinisikan bit input pada masing-masing portnya. Untuk itu kita tambahkan entity "sinyal" dan arsitektur "sinyal_arch" yang berfungsi untuk memberikan input pada entity "kepletex".

entity sinyal is
port(
pa,pb,pc,pd,ps1,ps2:out bit);
end sinyal;

architecture sinyal_arch of sinyal is
begin
pros: process is
begin
pa <= '0';
pb <= '1';
pc <='1';
pd <= '0';
ps1 <= '1';
ps2 <= '0';
end process pros;
end sinyal_arch;


Semua kode vhdl diatas digabung menjadi satu menjadi :

library ieee;
use ieee.std_logic_1164.all;

entity kepletex is -- mendefinisikan entity "kepletex"
port(
a,b,c,d,s1,s2: in bit; -- terdapat 4 port input, 2 selector, dan 1 output
y:out bit);
end kepletex;

architecture mux_arch of kepletex is
begin
proc: process is
begin
if (s1='0' and s2='0') then y <= a;
else if (s1='0' and s2='1') then y <= b;
else if (s1='1' and s2='0') then y <= c;
else if (s1='1' and s2='1') then y <= d;
end if;
end process proc;
end mux_arch;

entity sinyal is
port(
pa,pb,pc,pd,ps1,ps2:out bit);
end sinyal;

architecture sinyal_arch of sinyal is
begin
pros: process is
begin
pa <= '0';
pb <= '1';
pc <='1';
pd <= '0';
ps1 <= '1';
ps2 <= '0';
end process pros;
end sinyal_arch;

-- kode dibawah ini merupakan kode yang berfungsi menjalankan --
-- entity yang telah didefinisikan diatas --

library work;
use work.all;

entity eksekusi is
end eksekusi;

architecture eksekusi_arch of eksekusi is
signal in1,in2,in3,in4,select1,select2,output: bit;
begin
w1: entity sinyal port map(in1,in2,in3,in4,select1,select2);
w2: entity kepletex port map(in1,in2,in3,in4,select1,select2,output);
end eksekusi_arch;Multiplexer VHDL template

by : OVI ERPINTA

Tugas Bahasa Indonesia 1

Kata Baku - Tidak Baku

1. aktif = aktip
2. ambulans = ambulan
3. analisa = analisis
4. andal = handal
5. anggota = angauta
6. antre = antri
7. apotik = apotek
8. asas = azas
9. atlet = atlit
10. bus = bis
11. berpikir = berfikir
12. cabai = cabe, cabay
13. cenderamata = cinderamata
14. daftar = daptar
15. definisi = difinisi
16. depot = depo
17. detail = detil
18. diagnosis = diagnosa
19. diferensial = differensial
20. dipersilakan = dipersilahkan
21. disahkan = disyahkan
22. ekspor = eksport
23. ekstrem = ekstrim
24. ekuivalen = ekwivalen
25. embus = hembus
26. esai = esei
27. formal = formil
28. februari = pebruari
29. fiologi = phiologi
30. fisik = phisik
31. foto = photo
32. fondasi = pondasi
33. frekuensi = frekwensi
34. hafal = hapal
35. hakikat = hakekat
36. hierarki = hirarki
37. hipotesis = hipotesa
38. insaf = insyaf
39. ikhlas = ihlas
40. impor = import
41. istri = isteri
42. ijazah = ajasah, ijasah
43. izin = ijin
44. imbau = himbau
45. isap = hisap
46. jaman = zaman
47. jenazah = jenasah
48. justru = justeru
49. karier = karir
50. kaidah = kaedah
51. kategori = katagori
52. khotbah = khutbah
53. konferesi = konperensi
54. kongres = konggres
55. kompleks = komplek
56. kualifikasi = kwalifikasi
57. kualitas = kwalitas
58. kuantitatif = kwantitatif
59. koordinasi = koordinir
60. manajemen = menejemen
61. manajer = menejer
62. masalah = masaalah
63. masjid = mesjid
64. merek = merk
65. meterai = meterei
66. metode = metoda
67. miliar = milyar
68. misi = missi
69. mulia = mulya
70. mungkir = pungkir
71. museum = musium
72. narasumber = nara sumber
73. nasihat = nasehat
74. November = Nopember
75. objek = obyek
76. objektif = obyektif
77. paspor = pasport
78. peduli = perduli
79. praktik = praktek
80. provinsi = propinsi
81. putra = putera
82. profesor = proffesor
83. ramadhan = ramadan
84. risiko = resiko
85. saraf = syaraf
86. sekadar = sekedar
87. silakan = silahkan
88. sistem = sistim
89. saksama = seksama
90. standardisasi = standarisasi
91. subjek = subyek
92. subjektif = subyektif
93. teknik = tehnik
94. teknologi = tehnologi
95. terampil = trampil
96. telantar = terlantar
97. ubah = rubah
98. utang = hutang
99. varietas = varitas
100. zaman = jaman

Tugas Bahasa Indonesia 1

Mengapa Kita Masih Belajar Bahasa Indonesia

k
arena bahasa Indonesia itu menunjukan kepribadian itu suatu bangsa\kepribadian seseorang melalui tutur kata dan bahasa yang sering kita gunakan dan bahasa indonesia juga merupakan bahasa pemersatu bangsa dimana tiap orang akan mengerti jika di antara mereka menggunakan bahasa Indonesia.Bahasa Indonesia adalah bahasa pemersatu bangsa, dimana setiap orang akan mengerti jika diantara mereka menggunakan bahasa Indonesia,Selain itu, banyak aspek dalam pelajaran bahasa Indonesia yang harus kita ketahui, tidak hanya percakapan sehari-hari.
Kita sebagai masyarakat bangsa Indonesia sangat beruntung memiliki bahasa Indonesia. Bahasa Indonesia berkembang dengan sendirnya sesuai dengan aturannya, kita ingin memposisikan bahasa Indonesia pada posisinya, seperti yang telah termaktub dalam Sumpah Pemuda. Sumpah Pemuda mengikrarkan tiga hal yang sakral dalam sejarah dan proses kemerdekaan Indonesia, satu diantaranya adalah “Menjunjung tinggi bahasa persatuan, bahasa Indonesia. Dari celetukan itu, ada beberapa hal yang perlu kita cermati. Pertama, tampaknya pengertian bahasa yang baik dan benar itu belum dipahami oleh sebagian orang. Kedua, ada anggapan bahwa di mana dan kapan saja berada, kita harus berbicara dengan bahasa Indonesia yang baik dan benar. Pemilihan penutur bahasa terbaik hanyalah bagian dari proses tarik-menarik dalam pembakuan bahasa. Bahasa Indonesia bukan sebuah paket komplet yang telah selesai sehingga masih harus dibentuk bersama.Maka lihatlah, sampai hari ini kita masih kikuk dalam menyerap istilah asing.
Sumber Bahasa Indonesia
Sejarah tumbuh dan berkembangnya Bahasa Indonesia tidak lepas dari Bahasa Melayu. Dimana Bahasa melayu sejak dahulu telah digunakan sebagai bahasa perantara (lingua franca) atau bahasa pergaulan. Bahasa melayu tidak hanya digunakan di Kepulauan Nusantara, tetapi juga digunakan hampir diseluruh Asia Tenggara. Hal ini diperkuat dengan ditemukannya Prasasti-prasasti kuno dari kerjaan di indonesia yang ditulis dengan menggunakan Bahasa Melayu. Dan pasa saat itu Bahasa Melayu telah Berfungsi Sebagai :
1. Bahasa Kebudayaan yaitu bahasa buku-buku yang berisi aturan-aturan hidup dan satra
2. Bahasa Perhubungan (Lingua Franca) antar suku di Indonesia
3. Bahasa Perdagangan baik bagi suku yang ada di indonesia mapupun pedagang yang berasal dari luar indonesia.
4. Bahasa resmi kerajaan.

Bahasa Indonesia mempunyai dua kedudukan yang sangat penting yaitu :
1. Sebagai Bahasa Nasional
Seperti yang tercantum dalam ikrar ketiga Sumpah Pemuda 1928 yang berbunyi Kami putra dan putri Indonesia menjunjung bahasa persatuan, bahasa Indonesia. Ini berarti bahasa Indonesia berkedudukan sebagai bahasa Nasional yang kedudukannya berada diatas bahasa-bahasa daerah.
1. Sebagai Bahasa Negara
Tercantum dalam Undang-Undang Dasar 1945 (Bab XV Pasal 36) mengenasi kedudukan bahasa Indonesia yang menyatakan bahawa bahasa negara ialah bahasa Indonesia.
2.5.1. Fungsi Bahasa Indonesia
Di dalam kedudukannya sebagai bahasa nasional, bahasa Indonesia berfungsi sebagai :
1. Lambang kebangsaan
2. Lambang identitas nasional
3. Alat penghubung antarwarga, antardaerah dan antarbudaya
4. Alat yang memungkinkan penyatuan berbagai suku bangsa dengan latar belakang sosial budaya dan bahasa yang berbeda-beda ke dalam satu kesatuan kebangsaan yang bulat.

Di dalam kedudukannya sebagai bahasa negara, bahasa indonesia berfungsi sebagai :
1. Bahasa resmi kenegaraan
2. Bahasa pengantar di dalam dunia pendidikan
3. Alat perhubungan pada tingkat nasional untuk kepentingan perencanaan dan pelaksanaan pembangunan
4. Alat pengembangan kebudayaan, ilmu pengetahuan dan teknologi.
Sebagaimana yang kita ketahui bahasa Indonesia sumbernya adalah bahasa melayu. Sebagai bangsa yang besar selayaknyalah kita menghargai nilai-nilai sejarah tersebut dengan tetap menghrmati bahasa melayu. Disamping itu alangkah baiknya apabila kita menggunakan bahasa indonesia secara baik dan benar.


TUJUAN DIAJARKANNYA BAHASA INDONESIA
Apa Saja Tujuan dari Diajarkannya Bahasa Indonesia.
Kita dari kecil pun sudah diajarkan bagaimana berbicara bahasa Indonesia yang baik oleh orang tua kita, karena berbahasa Indonesia yang baku itu ciri sopan santun kita dalam berbicara. Ketika masuk sekolah dasar, kita diajarkan bagaimana berbicara bahasa Indonesia yang baik, bahkan di SMP, SMA, sampai kuliahpun kita masih diajarkan bahasa Indonesia. Ini semua bertujuan agar kita dari kecil hingga dewasa dapat memahami lebih jauh berbahasa Indonesia yaitu bahasa kita sendiri agar kita dapat mengetahui cara berbicara bahasa Indonesia yang benar seperti apa, dan juga kita dapat mempraktikannya di dalam berbicara sehari-hari. Kita juga harus melestarikan berbahasa Indonesia agar tidak hilang, justru kita juga harus membanggakannya sebagai warga bangsa Indonesia.

TUGAS SOFTSKIL 8 & 9

Tugas 8 :
1. A
2. B
3. C
4. B
5. C

Tugas 9 :
1. A
2. A
3. C
4. A
5. D
Read more "Tugas softskill 8 & 9..."

KEHILANGAN INDERA PERASA

Pasien stroke mungkin kehilangan kemampuan indera merasakan (sensorik)yaitu rangsang sentuh atau jarak cacat sensorik dapat menggangu kemampuan pasien mengenal benda yang sedang dipegangnya.dalam kasus yang ekstrem,pasien bahkan tidak mampu mengenali angota tubuhnya sendiri.ada pasien stroke yang merasa nyeri,mati rasa,atau perasaan geli-geli,atau seperti di tusuk-tusukl,pada anggota tubuh yang lumpuh atau yang lemah.k9ondisi ini di sebut paresthesia.gejala nyeri yang berkepanjngan di sebabkan adanya kerusakan pada system saraf.kasus ini disebut dengan nyeri neuropatik(neuropatic).

Sumber : VITAHEALTH

GANGGUAN KOMUNIKASI

Paling tidak seperempat dari semua pasien stroke mengalami ganguan komunikasi yang berhubungan dengan mendengar,berbicar ,membaca,menulis dan bahkan bahasa isyarat denagn gerak tanagn.ketidak berdayaan ini sangat membingungkan orang yang merawatnya.

Disartia(dysarthia)melemahnya otot-otot muka,lidah dan tengorokan yang membuat kesulitan bicara walaupun penderita memahami bahasa verbal maupun tulisan.cidera di salah satu pusat pengendalian bhasa di otak memang sangat berdampak pada komunikasi verbal.ganguan bahasa itu biasanya di akibatkan oleh kerusakan pada cuping temporal dan parietal otak sebelah kiri.

Sumber : VITAHEALTH